3nm

As part of the second leg of TSMC's spring technology symposium series, the company offered an update on the state of its 3nm-class processes, both current and future. Building on the back of their current-generation N3E process, the optical shrink of this process technology, N3P, is now on track to enter mass production in the second half of 2024. Thanks to that shrink, N3P is expected to offer both increased performance efficiency as well as increased transistor density over N3E. N3E in Production, Yielding Well With N3E already in volume production, TSMC is reporting that they're seeing "great" yields on the second-generation 3nm-class process note. According to the company, the D0 defect density of N3E is at relative parity with N5, matching the defect rate of...

Intel’s Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm

One of the interesting disclosures here at the IEEE International Electron Devices Meeting (IEDM) has been around new and upcoming process node technologies. Almost every session so far this...

138 by Dr. Ian Cutress on 12/11/2019

TSMC: 3nm EUV Development Progress Going Well, Early Customers Engaged

Development of new fabrication technologies never stops at leading-edge companies such as TSMC. Therefore, it is not surprising to hear the annoucement that development of TSMC’s 3nm node is...

76 by Anton Shilov on 7/23/2019

Synopsys to Accelerate Samsung’s 7nm Ramp with Yield Explorer Platform

Synopsys has announced an acceleration of development on its yield learning platform designed to speed up ramp up of chips made using Samsung Foundry’s 7LPP (7 nm low power...

16 by Anton Shilov on 7/4/2019

Samsung Announces 3nm GAA MBCFET PDK, Version 0.1

So what comes after 7nm, after 6nm, after 5nm, and after 4nm? That's right: 3nm! At Samsung's Foundry Forum event today, Samsung has announced that the first alpha version...

32 by Dr. Ian Cutress on 5/14/2019

Samsung Foundry Updates: 8LPU Added, EUVL on Track for HVM in 2019

Samsung recently hosted its Samsung Foundry Forum 2018 in Japan, where it made several significant foundry announcements. Besides reiterating plans to start high-volume manufacturing (HVM) using extreme ultraviolet lithography...

29 by Anton Shilov on 9/6/2018

GlobalFoundries Gives 7 nm Capacity Update, Mulls Skipping 5 nm

High-ranking executives of GlobalFoundries this month gave several updates concerning future plans of the contract maker of semiconductors. As it appears, in a bid to provide more tangible advantages...

19 by Anton Shilov on 5/31/2018

Samsung Foundry Roadmap: EUV-Based 7LPP for 2018, 3 nm Incoming

Samsung Foundry this week updated its fabrication technology roadmap, introducing a number of changes and announcing the first details about its 3 nm manufacturing process that is several years...

25 by Anton Shilov on 5/24/2018

Log in

Don't have an account? Sign up now